aboutsummaryrefslogtreecommitdiff
path: root/targets/tyan/s2882
diff options
context:
space:
mode:
authorWard Vandewege <ward@gnu.org>2008-02-21 21:00:19 +0000
committerWard Vandewege <ward@gnu.org>2008-02-21 21:00:19 +0000
commit0be722c5087f382ec372c9f0c98d76c8cf58e711 (patch)
tree6d50897810eb09f9a0e5478195baee7ae3b6b19f /targets/tyan/s2882
parentbd3f93e33038be965c971a6974d580f55934b854 (diff)
The proprietary VGA rom is only 36K on Tyan s2882, not 48K.
Tested on real hardware. This is a trivial patch. Signed-off-by: Ward Vandewege <ward@gnu.org> Acked-by: Ward Vandewege <ward@gnu.org> git-svn-id: svn://svn.coreboot.org/coreboot/trunk@3114 2b7e53f0-3cfb-0310-b3e9-8179ed1497e1
Diffstat (limited to 'targets/tyan/s2882')
-rw-r--r--targets/tyan/s2882/Config.lb8
1 files changed, 4 insertions, 4 deletions
diff --git a/targets/tyan/s2882/Config.lb b/targets/tyan/s2882/Config.lb
index f1f78cc2b3..404d9d95a8 100644
--- a/targets/tyan/s2882/Config.lb
+++ b/targets/tyan/s2882/Config.lb
@@ -7,10 +7,10 @@ mainboard tyan/s2882
# Tyan s2882
romimage "normal"
-# 48K for SCSI FW or ATI ROM
- option ROM_SIZE = 512*1024-48*1024
-# 48K for SCSI FW and 48K for ATI ROM
-# option ROM_SIZE = 512*1024-48*1024-48*1024
+# 36K for ATI ROM
+ option ROM_SIZE = 512*1024-36*1024
+# 48K for SCSI FW and 36K for ATI ROM
+# option ROM_SIZE = 512*1024-48*1024-36*1024
# 64K for Etherboot
# option ROM_SIZE = 512*1024-64*1024
option USE_FALLBACK_IMAGE=0