aboutsummaryrefslogtreecommitdiff
path: root/engine/InputType.php
blob: 401f7ca0fca3316399db9a64082bc6765693f270 (plain)
1
2
3
4
5
6
7
8
<?php

enum InputType: string {
    case INT = 'i';
    case FLOAT = 'f';
    case BOOL = 'b';
    case STRING = 's';
}