diff options
Diffstat (limited to 'src/mainboard/technexion/tim5690')
-rw-r--r-- | src/mainboard/technexion/tim5690/Makefile.inc | 5 |
1 files changed, 0 insertions, 5 deletions
diff --git a/src/mainboard/technexion/tim5690/Makefile.inc b/src/mainboard/technexion/tim5690/Makefile.inc index b3fd30a4bd..15b4911efc 100644 --- a/src/mainboard/technexion/tim5690/Makefile.inc +++ b/src/mainboard/technexion/tim5690/Makefile.inc @@ -37,9 +37,4 @@ obj-y += vgabios.o initobj-y += crt0.o -ldscripts := $(src)/arch/i386/init/ldscript_fallback_cbfs.lb -ldscripts += $(src)/cpu/x86/16bit/entry16.lds -ldscripts += $(src)/cpu/x86/16bit/reset16.lds -ldscripts += $(src)/arch/i386/lib/id.lds -ldscripts += $(src)/arch/i386/lib/failover.lds |