diff options
Diffstat (limited to 'src/lib/uart8250.c')
-rw-r--r-- | src/lib/uart8250.c | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/src/lib/uart8250.c b/src/lib/uart8250.c index 79eb1c51c1..3dbee0b464 100644 --- a/src/lib/uart8250.c +++ b/src/lib/uart8250.c @@ -33,7 +33,7 @@ static inline void uart8250_wait_to_tx_byte(unsigned base_port) static inline void uart8250_wait_until_sent(unsigned base_port) { - while(!(inb(base_port + UART_LSR) & 0x40)) + while(!(inb(base_port + UART_LSR) & 0x40)) ; } |