aboutsummaryrefslogtreecommitdiff
path: root/src/lib/rmodule.ld
diff options
context:
space:
mode:
Diffstat (limited to 'src/lib/rmodule.ld')
-rw-r--r--src/lib/rmodule.ld5
1 files changed, 4 insertions, 1 deletions
diff --git a/src/lib/rmodule.ld b/src/lib/rmodule.ld
index 63b99b386d..fe5c29f8ec 100644
--- a/src/lib/rmodule.ld
+++ b/src/lib/rmodule.ld
@@ -62,6 +62,7 @@ SECTIONS
/* Data section. */
_sdata = .;
*(.data);
+ *(.data.*);
. = ALIGN(4);
_edata = .;
@@ -72,7 +73,9 @@ SECTIONS
/* C uninitialized data of the module. */
_bss = .;
*(.bss);
- *(.sbss);
+ *(.bss.*)
+ *(.sbss)
+ *(.sbss.*)
*(COMMON);
. = ALIGN(8);
_ebss = .;