aboutsummaryrefslogtreecommitdiff
path: root/src/mainboard/tyan/s2880/Config.lb
diff options
context:
space:
mode:
authorRonald G. Minnich <rminnich@gmail.com>2003-07-30 03:05:20 +0000
committerRonald G. Minnich <rminnich@gmail.com>2003-07-30 03:05:20 +0000
commit57ffeb0578db71b1c57d9e4137def42aac34fe18 (patch)
treec130080b5a000b31b04639535d22274b256f6b65 /src/mainboard/tyan/s2880/Config.lb
parentb265254e1c50af2e3b84c0628016dda4ccf253d7 (diff)
updates from YhLu, plus fixes for PPC/K8 issues.
git-svn-id: svn://svn.coreboot.org/coreboot/trunk@1059 2b7e53f0-3cfb-0310-b3e9-8179ed1497e1
Diffstat (limited to 'src/mainboard/tyan/s2880/Config.lb')
-rw-r--r--src/mainboard/tyan/s2880/Config.lb19
1 files changed, 11 insertions, 8 deletions
diff --git a/src/mainboard/tyan/s2880/Config.lb b/src/mainboard/tyan/s2880/Config.lb
index a83389f0c1..1b0552bf50 100644
--- a/src/mainboard/tyan/s2880/Config.lb
+++ b/src/mainboard/tyan/s2880/Config.lb
@@ -16,9 +16,11 @@ uses ARCH
### Build the objects we have code for in this directory.
###
##object mainboard.o
-config chip.h
-register "fixup_scsi" = "1"
+#config chip.h
+#register "fixup_scsi" = "1"
+
driver mainboard.o
+driver lsi_scsi.o
object static_devices.o
if HAVE_MP_TABLE object mptable.o end
if HAVE_PIRQ_TABLE object irq_tables.o end
@@ -128,8 +130,9 @@ makerule ./auto.E
action "$(CPP) -I$(TOP)/src $(ROMCCPPFLAGS) $(CPPFLAGS) $(MAINBOARD)/auto.c > ./auto.E"
end
makerule ./auto.inc
- depends "./romcc ./auto.E"
- action "./romcc -mcpu=k8 -O ./auto.E > auto.inc"
+ depends "./romcc ./auto.E"
+ action "./romcc -O -mcpu=k8 -o auto.inc --label-prefix=auto ./auto.E"
+# action "./romcc -mcpu=k8 -O ./auto.E > auto.inc"
end
mainboardinit cpu/k8/enable_mmx_sse.inc
mainboardinit ./auto.inc
@@ -152,10 +155,10 @@ end
southbridge amd/amd8131
end
#mainboardinit archi386/smp/secondary.inc
-superio NSC/pc87360
- register "com1" = "{1}"
- register "lpt" = "{1}"
-end
+#superio NSC/pc87360
+# register "com1" = "{1}"
+# register "lpt" = "{1}"
+#end
dir /pc80
##dir /src/superio/winbond/w83627hf
cpu p5 end