From 94c6cd14803e05c483a5831c2526306a988c8103 Mon Sep 17 00:00:00 2001 From: Elyes Haouas Date: Mon, 6 May 2024 13:01:20 +0200 Subject: include/spd.h: Add SPD_MEMORY_TYPE_LPDDR3_INTEL into spd_memory_type Change-Id: I694af163fb530be49561e74e74d9c08e04986a44 Signed-off-by: Elyes Haouas Reviewed-on: https://review.coreboot.org/c/coreboot/+/82223 Reviewed-by: Eric Lai Reviewed-by: Angel Pons Tested-by: build bot (Jenkins) --- src/include/spd.h | 2 ++ 1 file changed, 2 insertions(+) (limited to 'src/include/spd.h') diff --git a/src/include/spd.h b/src/include/spd.h index 5d32447282..ff7c73ebb5 100644 --- a/src/include/spd.h +++ b/src/include/spd.h @@ -159,6 +159,8 @@ enum spd_memory_type { SPD_MEMORY_TYPE_LPDDR5_SDRAM = 0x13, SPD_MEMORY_TYPE_DDR5_NVDIMM_P = 0x14, SPD_MEMORY_TYPE_LPDDR5X_SDRAM = 0x15, + /* This is not a JEDEC module type ID */ + SPD_MEMORY_TYPE_LPDDR3_INTEL = 0xf1, }; /* SPD_MODULE_VOLTAGE values. */ -- cgit v1.2.3